Объяснение полусумматора и полного сумматора с таблицей истинности

Попробуйте наш инструмент устранения неполадок





В комбинационных схемах разные логические элементы используются для разработки кодера, мультиплексора, декодера и демультиплексора. Эти схемы имеют некоторые характеристики, например, выход этой схемы в основном зависит от уровней, которые присутствуют на входных клеммах в любое время. В этой схеме нет памяти. Более раннее состояние входа не влияет на текущее состояние этой цепи. Входов и выходов комбинационной схемы «n» нет. входов & ‘м’ нет. выходов. Некоторые из комбинационных схем представляют собой полусумматор и полный сумматор, вычитатель, кодер, декодер, мультиплексор и демультиплексор. В этой статье обсуждается обзор полусумматора и полного сумматора, а также работа с таблицами истинности.

Что такое сумматор?

Гадюка - это цифровая логическая схема в электронике, которая широко используется для сложения чисел. Во многих компьютерах и других типах процессоров сумматоры даже используются для вычисления адресов и связанных действий, а также для вычисления индексов таблиц в ALU и даже используются в других частях процессоров. Они могут быть построены для многих числовых представлений, таких как лишние 3 или двоично-десятичные числа. Сумматоры в основном делятся на два типа: полусумматор и полный сумматор.




Что такое схема половинного сумматора и полного сумматора?

Схема полусумматора имеет два входа: A и B, которые складывают две входные цифры и генерируют перенос и сумму. Полная схема сумматора имеет три входа: A и C, которые складывают три входных числа и генерируют перенос и сумму. Эта статья дает подробную информацию о том, для чего нужен полусумматор. и полный сумматор в табличной форме и даже в принципиальных схемах. Как уже упоминалось, основное и ответственное назначение сумматоров - сложение. Ниже приведены подробные теория полусумматора и полного сумматора.

Базовый полусумматор и полный сумматор

Базовый полусумматор и полный сумматор



Половина сумматора

Итак, переходя к сценарию полусумматора, он складывает две двоичные цифры, где входные биты называются дополнительным и суммирующим, и результатом будет два выхода: один - сумма, а другой - перенос. Чтобы выполнить операцию суммирования, к обоим входам применяется XOR, а к обоим входам применяется логический элемент AND для получения переноса.

Функциональная схема HA

Функциональная схема HA

В то время как в полной схеме сумматора он складывает 3 однобитовых числа, где два из трех битов могут называться операндами, а другой - как передаваемый бит. Полученный вывод - это 2-битный вывод, и на них можно ссылаться в качестве выходного переноса и суммы.

Используя полусумматор, вы можете разработать простое сложение с помощью логических вентилей.


Давайте посмотрим на пример добавления двух отдельных битов.

2-битный таблица истинности полусумматора как показано ниже:

Таблица истинности полусумматора

Таблица истинности полусумматора

0 + 0 = 0
0 + 1 = 1
1 + 0 = 1
1 + 1 = 10

Это наименее возможные однобитовые комбинации. Но результат для 1 + 1 равен 10, результат суммы должен быть переписан как 2-битный вывод. Таким образом, уравнения можно записать в виде

0 + 0 = 00
0 + 1 = 01
1 + 0 = 01
1 + 1 = 10

Выход «1» из «10» выполняется. «SUM» - это нормальный вывод, а «CARRY» - это вынос.

Теперь стало ясно, что 1-битный сумматор можно легко реализовать с помощью логического элемента XOR для выхода «SUM» и логического элемента AND для «Carry».

Например, когда нам нужно сложить два 8-битных байта вместе, это может быть реализовано с помощью логической схемы полного сумматора. Полусумматор полезен, когда вы хотите добавить однозначное двоичное число.

Одним из способов разработки двухзначных сумматоров было бы составление таблицы истинности и ее сокращение. Если вы хотите сделать сумматор из трех двоичных разрядов, операция сложения полусумматора выполняется дважды. Аналогичным образом, когда вы решите сделать четырехзначный сумматор, операция выполняется еще раз. С этой теорией было ясно, что реализация проста, но разработка требует времени.

В простейшем выражении используется функция исключающего ИЛИ:

Сумма = A XOR B

Нести = А И Б

Логическая диаграмма HA

Логическая диаграмма HA

И эквивалентное выражение с точки зрения основных И, ИЛИ и НЕ:

СУММ = A.B + A.B ’

Код VHDL для полусумматора

Сущность ха

Порт (a: в STD_LOGIC
b: в STD_LOGIC
sha: out STD_LOGIC
ча: из STD_LOGIC)
конец ха

Архитектура Поведение вышеупомянутой схемы

начинать
ша<= a xor b
нет<= a and b
конец Поведенческий

Номер IC половинного сумматора

Реализация полусумматора может быть выполнена с помощью высокоскоростных цифровых логических интегральных схем КМОП, таких как серия 74HCxx, в которую входят SN74HC08 (7408) и SN74HC86 (7486).

Ограничения полусумматора

Основная причина называть эти двоичные сумматоры наподобие полусумматоров заключается в том, что нет диапазона, в который можно было бы включить бит переноса с использованием более раннего бита. Итак, это основное ограничение HA, когда-то использовавшееся как двоичный сумматор, особенно в ситуациях реального времени, которые включают добавление нескольких битов. Таким образом, это ограничение можно преодолеть, используя полные сумматоры.

Полный сумматор

Этот сумматор сложно реализовать по сравнению с полусумматором.

Полная функциональная схема сумматора

Полная функциональная схема сумматора

Разница между полусумматором и полным сумматором состоит в том, что полный сумматор имеет три входа и два выхода, тогда как полусумматор имеет только два входа и два выхода. Первые два входа - это A и B, а третий вход - это входной перенос как C-IN. Когда разработана логика полного сумматора, вы объединяете восемь из них вместе, чтобы создать байтовый сумматор и каскадировать бит переноса от одного сумматора к другому.

Таблица истинности FA

Таблица истинности FA

Выходной перенос обозначается как C-OUT, а нормальный выход представлен как S, что означает «СУММ».

С указанным выше полная таблица истинности сумматора , реализация полной схемы сумматора может быть понятна легко. СУММ «S» производится в два этапа:

  1. Путем XOR предоставленных входов «A» и «B»
  2. Результат XOR B затем XORed с C-IN

Это генерирует СУММ и C-OUT истинно, только когда два из трех входов имеют HIGH, тогда C-OUT будет HIGH. Итак, мы можем реализовать полную схему сумматора с помощью двух схем полусумматора. Первоначально полусумматор будет использоваться для сложения A и B для получения частичной суммы, а логика сумматора второй половины может использоваться для добавления C-IN к сумме, произведенной первым половинным сумматором, чтобы получить окончательный вывод S.

Если какая-либо логика полусумматора производит перенос, будет перенос на выходе. Таким образом, C-OUT будет функцией ИЛИ выходов Carry полусумматора. Взгляните на реализацию полной схемы сумматора, показанной ниже.

Полная логическая схема сумматора

Полная логическая схема сумматора

Реализация более крупных логических схем возможна с помощью приведенной выше полной логики сумматора, для представления операции в основном используется более простой символ. Ниже приводится более простое схематическое изображение однобитового полного сумматора.

С этим типом символа мы можем сложить два бита вместе, взяв перенос из следующего более низкого порядка величины и отправив перенос на следующий более высокий порядок величины. В компьютере для многобитовой операции каждый бит должен быть представлен полным сумматором и должен добавляться одновременно. Таким образом, чтобы сложить два 8-битных числа, вам понадобится 8 полных сумматоров, которые можно сформировать каскадным соединением двух из 4-битных блоков.

Полусумматор и полный сумматор с использованием K-Map

Даже выходы суммы и переноса для полусумматора также могут быть получены с помощью метода отображения Карно (K-map). В полусумматор и полный сумматор логическое выражение можно получить через K-карту. Итак, K-карта для этих сумматоров обсуждается ниже.

K-карта полусумматора:

HA K-карта

HA K-карта

Полный сумматор K-Map

FA K-карта

FA K-карта

Логическое выражение SUM и Carry

Логическое выражение суммы (S) может быть определено на основе входных данных, указанных в таблице.

= A’B’Cin + A ’B CCin’ + A B’Cin ’+ AB Cin
= Cin (A’B ’+ AB) + Cin’ (A’B + A B ’)
= Cin EX-OR (A EX-OR B)
= (1,2,4,7)

Логическое выражение переноса (Cout) может быть определено на основе входных данных, указанных в таблице.

= A’B Cin + AB’Cin + AB Cin ’+ ABCin
= AB + BCin + ACin
= (3, 5, 6, 7)

С помощью вышеупомянутых таблиц истинности можно получить результаты и выполнить следующую процедуру:

Комбинационная схема объединяет различные вентили в схеме, где они могут быть кодировщиком, декодером, мультиплексор и демультиплексор . Характеристики комбинационных схем следующие.

  • Выходной сигнал в любой момент времени основан только на уровнях, которые присутствуют на входных клеммах.
  • Он не использует память. Предыдущее состояние входа не влияет на текущее состояние схемы.
  • Он может иметь любое количество входов и m выходов.

Кодирование VHDL

Кодирование VHDL для полного сумматора включая следующее.

entity full_add - это

Порт (a: в STD_LOGIC
b: в STD_LOGIC
cin: в STD_LOGIC
сумма: из STD_LOGIC
cout: out STD_LOGIC)
конец full_add

Архитектура Поведение full_add

компонент га
Порт (a: в STD_LOGIC
b: в STD_LOGIC
sha: out STD_LOGIC
ча: из STD_LOGIC)
конечный компонент
сигнал s_s, c1, c2: STD_LOGIC
начинать
HA1: ha карта портов (a, b, s_s, c1)
HA2: ha port map (s_s, cin, sum, c2)
Стоимость<=c1 or c2
конец Поведенческий

В разница между полусумматором и полным сумматором состоит в том, что полусумматор дает результаты, а полный сумматор использует полусумматор для получения другого результата. Точно так же, хотя полный сумматор состоит из двух полусумматоров, полный сумматор - это фактический блок, который мы используем для создания арифметических схем.

Перенести прогнозирующие сумматоры

В концепции сумматоров с волновым переносом биты, необходимые для сложения, становятся доступны немедленно. В то время как каждая секция сумматора должна удерживать свое время для прихода переноса из предыдущего блока сумматора. Из-за этого для создания SUM и CARRY требуется больше времени, поскольку каждая секция в схеме ожидает поступления ввода.

Например, чтобы доставить вывод для n-го блока, он должен получить ввод от (n-1) -го блока. И эта задержка соответственно называется задержкой распространения.

Чтобы преодолеть задержку в сумматоре переноса пульсаций, был введен сумматор упреждающего переноса. Здесь, используя сложное оборудование, задержка распространения может быть минимизирована. На приведенной ниже диаграмме показан сумматор с предварительным просмотром с использованием полных сумматоров.

Перенести вперед с помощью полного сумматора

Перенести вперед с помощью полного сумматора

Таблица истинности и соответствующие выходные уравнения:

К B C C + 1 Условие
0000

Не носить

Генерировать

0010
0100
0111

Не носить

Распространять

1000
1011
1101

Нести

Генерировать

1111

Уравнение распространения переноса - Pi = Ai XOR Bi, а порождение переноса - Gi = Ai * Bi. С помощью этих уравнений уравнения суммы и переноса могут быть представлены как

СУММ = Pi XOR Ci

Ci + 1 = Gi + Pi * Ci

Gi обеспечивает перенос, только когда оба входа Ai и Bi равны 1, без учета входного переноса. Pi связано с распространением переноса от Ci к Ci + 1.

Разница между половинным сумматором и полным сумматором

В разница между полусумматором и таблицей полного сумматора показано ниже.

Половина сумматора Полный сумматор
Полусумматор (HA) - это комбинационная логическая схема, которая используется для сложения двух однобитовых цифр.Полный сумматор (FA) - это комбинационная схема, которая используется для сложения трех однобитовых цифр.
В HA, когда перенос сгенерирован из предыдущего добавления, нельзя добавить к следующему шагу.В FA, как только перенос создается из предыдущего добавления, он может быть добавлен к следующему шагу.
Полусумматор включает в себя два логических элемента, таких как элемент И и элемент EX-OR.Полный сумматор включает в себя два элемента EX-OR, два элемента OR и два элемента AND.
Входных битов в полусумматоре два, как A, B.Входных битов в полном сумматоре три, как A, B и C-in.
Сумма полусумматора и уравнение переноса:

S = a⊕b C = a * b

Полное логическое выражение сумматора:

S = a ⊕ b⊕Cin Cout = (a * b) + (Cin * (a⊕b)).

HA используется в компьютерах, калькуляторах, устройствах, используемых для цифровых измерений и т. Д.FA используется в цифровых процессорах, многобитном сложении и т. Д.

В Ключевые различия между полусумматором и полным сумматором обсуждаются ниже.

  • Половинный сумматор генерирует сумму и перенос, добавляя два двоичных входа, тогда как полный сумматор используется для генерации суммы и переноса путем добавления трех двоичных входов. Аппаратная архитектура полусумматора и полного сумматора не одинакова.
  • Основная особенность, которая отличает HA & FA, заключается в том, что в HA нет такой сделки, чтобы рассматривать последний добавленный перенос как его вход. Но FA находит конкретный входной столбец, такой как Cin, чтобы учесть бит переноса последнего добавления.
  • Два сумматора покажут разницу в зависимости от компонентов, используемых в схеме для ее построения. Полусумматоры (HA) разработаны с комбинацией двух логических вентилей, таких как AND и EX-OR, тогда как FA разработан с комбинацией трех логических элементов AND, двух XOR и одного OR.
  • В основном, HA работают с 2–2 входами по 1 разряду, тогда как FA работают с тремя входами по 1 разряду. Полусумматор используется в различных электронных устройствах для оценки сложения, тогда как полный сумматор используется в цифровых процессорах для добавления длинного бита.
  • Сходство этих двух сумматоров состоит в том, что оба HA и FA являются комбинационными цифровыми схемами, поэтому они не используют никаких элементов памяти, таких как последовательные схемы. Эти схемы необходимы для арифметических операций, чтобы обеспечить сложение двоичного числа.

Полная реализация сумматора с использованием полусумматора

Реализацию FA можно осуществить с помощью двух логически подключенных полусумматоров. Блок-схема этого может быть показана ниже, которая показывает подключение FA с помощью двух полусумматоров.
Уравнения суммы и переноса из предыдущих вычислений:

S = A ‘B’ Cin + A ’BC’ in + ABCin

Cout = AB + ACin + BCin

Уравнение суммы можно записать как.

Cin (A’B ‘+ AB) + C‘ in (A ’B + A B ’)

Итак, Sum = Cin EX-OR (A EX-OR B)

Cin (A EX-OR B) + C’in (A EX-OR B)

= Cin EX-OR (A EX-OR B)

Cout можно записать следующим образом.

COUT = AB + ACin + BCin.

COUT = AB + + разочарования BCIN (А + А)

= ABCin + AB + ACin + A ’B Cin

= AB (1 + Cin) + ACin + A ’B Cin

= A B + ACin + A ’B Cin

= AB + ACin (B + B ’) + A’ B Cin

= ABCin + AB + A’B Cin + A ’B Cin

= AB (Cin + 1) + A B Cin + A ’B Cin

= AB + AB ’Cin + A’ B Cin

= AB + Cin (AB ’+ A’B)

Следовательно, COUT = AB + Cin (A EX-OR B)

В зависимости от двух приведенных выше уравнений суммирования и переноса схема FA может быть реализована с помощью двух HA и логического элемента ИЛИ. Принципиальная схема полного сумматора с двумя полусумматорами проиллюстрирована выше.

Полный сумматор с использованием двух половинных сумматоров

Полный сумматор с использованием двух половинных сумматоров

Полный дизайн сумматора с использованием NAND Gates

Логический элемент NAND - это один из видов универсальных ворот, используемых для выполнения любого логического дизайна. Схема FA со схемой вентилей И-НЕ показана ниже.

FA с использованием NAND Gates

FA с использованием NAND Gates

FA - это простой однобитовый сумматор, и если мы хотим выполнить сложение n-битов, то n нет. однобитовых FA должны использоваться в формате каскадного соединения.

Преимущества

В преимущества полусумматора и полного сумматора включая следующее.

  • Основная цель полусумматора - сложить два однобитовых числа.
  • Полные сумматоры могут добавлять бит переноса, полученный в результате предыдущего добавления.
  • С полным сумматором могут быть реализованы такие важные схемы, как сумматор, мультиплексор и многие другие.
  • Полные схемы сумматора потребляют минимальную мощность
  • Преимущества полного сумматора перед половинным сумматором заключаются в том, что полный сумматор используется для преодоления недостатка полусумматора, поскольку полусумматор в основном используется для сложения двух 1-битных чисел. Половинные сумматоры не добавляют бит переноса, поэтому используется полный сумматор. В полном сумматоре можно выполнить сложение трех битов и получить два выхода.
  • Разработка сумматоров проста и является основным строительным блоком, позволяющим легко понять однобитовое сложение.
  • Этот сумматор можно преобразовать в половинный вычитатель, добавив инвертор.
  • Используя полный сумматор, можно получить высокий выход.
  • Высокоскоростной
  • Очень сильный для масштабирования напряжения питания

Недостатки

В Недостатки полусумматора и полного сумматора включая следующее.

  • Кроме того, полусумматор нельзя использовать перед переносом, поэтому он не применим для каскадного добавления многобитового.
  • Чтобы преодолеть этот недостаток, в FA необходимо добавить три 1 бита.
  • Как только FA используется в форме цепочки, такой как RA (сумматор пульсаций), то выходная мощность привода может быть уменьшена.

Приложения

Применения полусумматора и полного сумматора включают следующее.

  • Сложение двоичных разрядов может быть выполнено полусумматором с использованием ALU в компьютере, поскольку он использует сумматор.
  • Комбинацию половинного сумматора можно использовать для разработки полной схемы сумматора.
  • Полусумматоры используются в калькуляторах и для измерения адресов, а также таблиц.
  • Эти схемы используются для обработки различных приложений в цифровых схемах. В будущем он играет ключевую роль в цифровой электронике.
  • Цепь FA используется в качестве элемента во многих больших цепях, таких как сумматор переноса пульсации. Этот сумматор одновременно добавляет количество битов.
  • FA используются в арифметико-логическом блоке (ALU)
  • FA используются в графических приложениях, таких как GPU (графический процессор)
  • Они используются в схеме умножения для выполнения умножения переноса.
  • В компьютере для генерации адреса памяти и построения программного контрапункта для последующей инструкции используется арифметический логический блок с использованием полных сумматоров.

Таким образом, всякий раз, когда выполняется сложение двух двоичных чисел, сначала к цифрам добавляются наименьшие биты. Этот процесс можно выполнить через полусумматор, потому что простейший n / w позволяет складывать два 1-битных числа. Входами этого сумматора являются двоичные цифры, а выходами - сумма (S) и перенос (C).

Всякий раз, когда включается количество цифр, сеть HA используется просто для соединения наименьших цифр, поскольку HA не может добавить номер переноса из более раннего класса. Полный сумматор можно определить как основу всех цифровых арифметических устройств. Это используется для добавления трех однозначных чисел. Этот сумматор включает три входа, такие как A, B и Cin, тогда как выходы - это Sum и Cout.

Связанные концепции

В концепции, связанные с полусумматором и полным сумматором просто не придерживаться одной цели. Они широко используются во многих приложениях, и некоторые из них упоминаются:

  • Номер микросхемы полусумматора и полного сумматора
  • Разработка 8-битного сумматора
  • Каковы меры предосторожности при использовании полусумматора?
  • JAVA-апплет сумматора Ripple Carry

Поэтому все дело в теория полусумматора и полного сумматора Наряду с таблицами истинности и логическими диаграммами также показана конструкция полного сумматора с использованием схемы полусумматора. Многие из полусумматор и полный сумматор pdf имеются документы, содержащие расширенную информацию об этих концепциях. Кроме того, важно знать как реализован 4-битный полный сумматор ?