Что такое конвертер кода: двоичный код Грея в двоичный код и двоичный код Грея

Попробуйте наш инструмент устранения неполадок





В компьютерах нам нужно преобразовать двоичный код в серый, а серый в двоичный. Преобразование этого может быть выполнено с использованием двух правил, а именно преобразования двоичного изображения в серый и преобразования серого в двоичное. При первом преобразовании старший бит кода Грея постоянно эквивалентен старшему двоичному разряду двоичного кода. Дополнительные биты вывода кода Грея могут быть получены с использованием концепции логического элемента EX-OR для двоичных кодов в этом текущем индексе, а также в более раннем индексе. Здесь MSB - не что иное, как самый старший бит. При первом преобразовании старший бит двоичного кода постоянно эквивалентен старшему двоичному разряду конкретного двоичного кода. Дополнительные биты вывода двоичного кода могут быть получены с помощью EX-OR логический вентиль концепция путем проверки кодов Грея по этому текущему индексу. Если текущий бит кода Грея равен нулю, то после этого скопируйте более ранний двоичный код, а также скопируйте обратный бит более раннего двоичного кода. В этой статье обсуждается обзор преобразователей кода, который включает преобразователь двоичного кода в код Грея, а также преобразователь кода Грея в двоичный код.

Что такое двоичный код?

В цифровых компьютерах код, который используется на основе двоичной системы счисления, известен как двоичный код. Есть два возможных состояния, например ВКЛ и ВЫКЛ, которые представлены через 0 и 1. Цифровая система использует 10 цифр, где каждая позиция цифры означает степень 10. В двоичной системе каждая позиция цифры представляет степень двойки.




Сигнал двоичного кода включает в себя последовательность электрических импульсов, обозначающих символы, числа и выполняемые операции. Устройство синхронизации используется для передачи обычных импульсов, а также компонентов, таких как транзисторы, которые включаются / выключаются, чтобы поток, в противном случае блокирует сигналы. В двоичном коде каждое десятичное число в диапазоне от 0 до 9 может быть обозначено набором из 4-х двоичных битов / цифр. Основные 4 арифметических операции, такие как сложение, вычитание, умножение и деление, могут быть уменьшены до комбинаций основных булевых алгебраических функций над двоичными числами.

Что такое код Грея?

Код Грея или RBC (отраженный двоичный код), или циклический код, представляет собой серию двоичных систем счисления. Основная причина для вызова этого отраженного двоичного кода заключается в том, что начальные значения N / 2 находятся в обратном порядке по сравнению с последними значениями N / 2. В этом виде кода два последовательных значения изменяются с помощью одного бита двоичных цифр. Эти коды в основном используются в обычных сериях двоичных чисел, генерируемых оборудованием.



Двоичные числа могут вызывать ошибки после перехода от одного числа к последовательному. Этот тип кода в основном решает эту проблему, просто изменяя один бит после того, как изменение между числами выполнено.

Этот вид кода очень легкий и не зависит от числового значения, указанного в позиции. Этот вид кода также называется циклическим кодом переменной, потому что изменение одного значения на его последовательное значение содержит изменение только одного бита.


Это самый популярный вариант для кодов единичных расстояний, однако он не подходит для арифметических функций. Приложения кода Грея включают аналого-цифровые преобразователи и цифровую связь для исправления ошибок. Во-первых, серый код понять непросто, однако он становится очень легко узнаваемым.

Конвертер двоичного кода в код Грея

Двоичный код - это очень простое представление данных с использованием двух значений, таких как 0 и 1, и оно в основном используется в мире компьютеров. Двоичный код может иметь высокое (1) или низкое (0) значение или даже изменение значения. Код Грея или отраженный двоичный код оценивает характер двоичного кода, который снабжен индикаторами включения и выключения, обычно обозначенными единицами и нулями. Эти коды используются для проверки ясности, а также исправления ошибок в двоичном формате. коммуникации .

Преобразование двоичного кода в код Грея можно выполнить с помощью логическая схема . Код Грея - это невзвешенный код, поскольку позиции бита не назначается конкретный вес. N-разрядный код может быть получен путем воспроизведения n-1-разрядного кода на оси, следующей за строками 2п-1, а также размещение старшего бита 0 над осью с самым старшим битом 1 под осью. Пошаговая генерация кода серого показана ниже.

Логическая схема преобразования двоичного кода в код Грея

Логическая схема преобразования двоичного кода в код Грея

Этот метод использует логический элемент Ex-OR для работы с двоичными битами. Следующий лучший пример будет очень полезен для понимания преобразования двоичного кода в серый. В этом методе преобразования удалите бит MSB текущего двоичного числа, так как первичный бит или бит MSB номера кода Грея аналогичен двоичному числу.

Чтобы получить биты с прямым кодированием серого для генерации соответствующей цифры с серым кодом для заданных двоичных цифр, добавьте первичную цифру или цифру MSB двоичного числа ко второй цифре и запишите произведение рядом с первичным битом кода Грея, и добавьте следующий двоичный бит к третьему биту, затем запишите произведение рядом с 2ndбит серого кода. Точно так же выполните эту процедуру до последнего двоичного бита, а также запишите результаты в зависимости от Логическая операция EX-OR для генерации соответствующей двоичной цифры с серым кодом.

Пример преобразователя двоичного кода в код Грея

Предположим, что цифры двоичного кода равны bo, b1, b2, b3, тогда как конкретный код Грея может быть получен на основе следующей концепции.

Пример преобразования кода

Пример преобразования кода

Из вышеупомянутой операции, наконец, мы можем получить значения серого, такие как g3 = b3, g2 = b3 XOR b2, g1 = b2 XOR b1, g0 = b1 XOR b0.

Пример преобразования

Пример преобразования

Например, возьмите двоичное значение b3, b2, b1, b0 = 1101 и найдите код Грея g3, g2, g1, g0 на основе вышеупомянутой концепции.

g3 = b3 = 1

g2 = b3 исключающее ИЛИ b2 = 1 исключающее ИЛИ 1 = 0

g1 = b2 исключающее ИЛИ b1 = 1 исключающее ИЛИ 0 = 1

g0 = b1 исключающее ИЛИ b0 = 0 исключающее ИЛИ 1 = 1

Последний код Грея для двоичного значения 1101 - 1011.

Таблица преобразования двоичного кода в код Грея

Десятичное число

Бинарный код

Код Грея

0

00000000
10001

0001

два

0010

0011

3

00110010

4

0100

0110

50101

0111

6

01100101
70111

0100

8

10001100

9

1001

1101

101010

1111

11

1011

1110

12

11001010

13

1101

1011

141110

1001

151111

1000

Код VHDL для преобразования двоичного кода в код Грея приведен ниже.

БИБЛИОТЕКА ieee
ИСПОЛЬЗУЙТЕ ieee.std_logic_1164.ALL
сущность bin2gray
порт (bin: in std_logic_vector (от 3 до 0) - двоичный вход
G: out std_logic_vector (от 3 до 0) - вывод серого кода
)
конец bin2gray
архитектура gate_level для bin2gray равна
начинать
–Xor ворота.
G (3)<= bin(3)
G (2)<= bin(3) xor bin(2)
G (1)<= bin(2) xor bin(1)
G (0)<= bin(1) xor bin(0)
конец

Преимущества

В преимущества двоичного кода включая следующее.

  • Основное преимущество использования двоичного кода заключается в том, что он просто передается через электронные устройства.
  • Двоичные данные также очень просто хранить.
  • Очень легко обозначить и контролировать электронно и механически.
  • Несоответствие между представлениями символов может быть увеличено, так что возможность ошибки может быть уменьшена.

В недостатки двоичного кода включая следующее.

  • Требуемое количество символов может быть увеличено для обозначения заданного количества общих систем значений позиции.
  • Люди не могут их очень эффективно читать из-за их длины и использования десятичных чисел по умолчанию.
  • Для обозначения любого логического числа используется много цифр.

Приложения

Приложения двоичного кода включают следующее.

  • Двоичные коды используются в телекоммуникациях, а также в вычислениях для различных методов кодирования данных, таких как символьные строки в битовые строки. Ширина, используемая этими методами, является фиксированной, в противном случае - строки переменной ширины.
  • Это используется в компьютерных языках, а также в программировании, потому что компьютерные языки в основном зависят от двухзначных систем счисления.

Конвертер серого в двоичный код

Этот метод преобразования серого в двоичный также использует рабочую концепцию логического элемента EX-OR между битами серого, а также двоичными битами. Следующий пример с пошаговой процедурой может помочь понять концепцию преобразования кода Грея в двоичный код.

Чтобы преобразовать серый в двоичный код, удалите цифру MSB номера кода Грея, так как основная цифра или MSB кода Грея аналогична двоичной цифре.

Чтобы получить следующий прямой двоичный бит, он использует операцию XOR между первичным битом или бит MSB двоичного кода для следующего бита кода Грея.

Логическая схема преобразования серого в двоичный код

Логическая схема преобразования серого в двоичный код

Точно так же, чтобы получить третий прямой двоичный бит, он использует операцию XOR между вторым битом или бит MSB двоичного кода с третьим битом MSD кода Грея и так далее.

Пример преобразования серого в двоичный код

Предположим, что Код Грея цифры g3, g2, g1, g0, тогда как конкретные цифры двоичного кода - bo, b1, b2, b3, могут быть получены на основе следующей концепции.

Пример преобразования

Пример преобразования

Из вышеуказанной операции, наконец, мы можем получить двоичные значения, такие как b3 = g3, b2 = b3 XOR g2, b1 = b2 XOR g1, b0 = b1 XOR g0.

Пример преобразования кода

Пример преобразования кода

Например, возьмите значение серого g3, g2, g1, g0 = 0011 и найдите двоичный код b3, b2, b1, b0 на основе вышеупомянутой концепции.

b3 = g3 = 0

b2 = b3 исключающее ИЛИ g2 = 0 исключающее ИЛИ 0 = 0

b1 = b2 исключающее ИЛИ g1 = 0 исключающее ИЛИ 1 = 1

b0 = b1 исключающее ИЛИ g0 = 1 исключающее ИЛИ 1 = 0

Последний двоичный код для значения 0011 серого - 0010.

Таблица преобразования серого в двоичный код

Десятичное число Код Грея

Бинарный код

0

00000000

1

0001

0001

два0010

0010

3

00110011

4

0110

0100

50111

0101

6

01010110
70100

0111

8

11001000
91101

1001

10

11111010
111110

1011

12

10101100
131011

1101

14

10011110
151000

1111

Преимущества

В преимущества серого кода включая следующее.

  • Логическая схема может быть уменьшена
  • Используется при пересечении часового домена
  • Используется для минимизации ошибки при изменении сигналов с аналоговых на цифровые.
  • Как только он используется в генетических алгоритмах, возникновение стенок хамминга может быть уменьшено.

Недостатки

К недостаткам кода Грея можно отнести следующее.

  • Не подходит для арифметических функций
  • Применимо для нескольких точных приложений

Приложения

К приложениям кода Грея относятся следующие.

  • Используется в аналого-цифровых преобразователях.
  • В цифровой связи для исправления ошибки
  • Это уменьшает количество ошибок при изменении сигналов с аналоговых на цифровые.
  • Математические головоломки
  • Минимизация булевой схемы
  • Он используется для связи между двумя тактовыми доменами.
  • Генетические алгоритмы
  • Датчики положения

Код VHDL для кода Грея для двоичного преобразования приведен ниже.

БИБЛИОТЕКА ieee
ИСПОЛЬЗУЙТЕ ieee.std_logic_1164.ALL
entity gray2bin это
порт (G: в std_logic_vector (от 3 до 0) - ввод серого кода
bin: out std_logic_vector (от 3 до 0) - двоичный вывод
)
конец gray2bin
архитектура gate_level of gray2bin - это
начинать
–Xor ворота.
утра (3)<= G(3)
утра (2)<= G(3) xor G(2)
утра (1)<= G(3) xor G(2) xor G(1)
утра (0)<= G(3) xor G(2) xor G(1) xor G(0)
конец

3-битный преобразователь двоичного кода в код Грея

Предположим, что это двоичные цифры в 3-битном двоичном числе, например, b0, b1, b2, где бит «b2» является старшим битом (старший значащий бит), а бит «b0» - младшим значащим битом двоичного разряда. Цифры кода Грея - это g0, g1, g2, где цифра «g2» - это старший значащий бит, тогда как цифра «g0» - это младший бит (младший значащий бит) кода Грея.

Двоичный код - b2, b1, b0

Код Грея - g2, g1, g0

000

000
001

001

010

011
011

010

100

110
101

111

110

101

111

100

Таким образом, логическое выражение может быть решено для преобразования двоичного кода в код Грея с использованием k-map, мы можем получить g2 = b2, g1 = b1⊕ b2 & g0 = b0 ⊕ b1. Точно так же мы можем изменить n-битное двоичное число (bnb (n-1)… b2 b1 b0) на код Грея (gng (n-1)… g2 g1 g0).

Для LSB (младший бит)

g0 = b0⊕b1

g1 = b1⊕b2

g2 = b1⊕b2

г (п-1) знак равно Ь (п-1) бн, гн = бн.

Например, преобразовать двоичные числа 111010 в код Грея.

Итак, на основе приведенного выше алгоритма

g0 = b0 ⊕ b1 => 0 ⊕ 1 = 1

g1 = b1 ⊕ b2 = 1 ⊕ 0 = 1

g2 = b2 ⊕ b3 = 0 ⊕1 = 1

g3 = b3 ⊕ b4 = 1⊕1 = 0

g4 = b4 ⊕ b5 = 1 ⊕ 1 = 0

g5 = b5 = 1 = 1

Итак, преобразование двоичного кода в код Грея будет - 100111.

Конвертер двоичного кода в код Грея с использованием IC 7486

Преобразование двоичного изображения в серый и серого в двоичное можно выполнить с помощью IC7486. Необходимые компоненты для этого - макетная плата, соединительные провода, светодиоды, резисторы, XOR (IC7486), кнопочные переключатели и батарея для источника питания.

В комплект IC7486 входят в основном четыре логических элемента XOR, при этом выводы 7 и 14 будут обеспечивать питание всех логических элементов. O / ps одного логического элемента XOR подключается к входу другого логического элемента в той же или другой микросхеме до тех пор, пока они не будут использовать аналогичный вывод заземления.

Таким образом, речь идет о преобразователе двоичного кода в код Грея и преобразователе кода Грея в двоичный код. Наконец, из приведенной выше информации мы можем сделать вывод, что эти конвертеры играют важную роль в выполнении различных операций цифровая электроника а также связь между различными системами счисления. Примеры преобразователей кода, которые мы обсуждали выше, могут быть полезны для понимания концепции того, как выполнять эти вычисления. Вот вам вопрос, каковы применения кодов Грея?