Основы защелок в цифровой электронике

Попробуйте наш инструмент устранения неполадок





В цифровая электроника , защелка - это один из видов логическая схема , и он также известен как бистабильный мультивибратор . Потому что он имеет два стабильных состояния: активный высокий и активный низкий. Он работает как запоминающее устройство, удерживая данные через полосу обратной связи. Он хранит 1 бит данных, пока устройство активировано. Как только объявлено включение, мгновенная защелка может изменить сохраненные данные. Он постоянно проверяет входы после активации сигнала разрешения. Работа этих схем может быть выполнена в 2-х состояниях, в зависимости от того, высокий или низкий уровень разрешающего сигнала. Когда схема защелки находится в активном высоком состоянии, то оба i / ps имеют низкий уровень. Точно так же, когда схема защелки находится в активном низком состоянии, оба i / ps имеют высокий уровень.

Различные типы защелок

Защелки можно разделить на разные типы, включая защелку SR, Защелка закрытая S-R , Защелка D , D-защелка с воротами, защелка JK и защелка T.




Защелка SR

An Защелка SR (Set / Reset) является асинхронным устройством и работает отдельно для управляющих сигналов в зависимости от S-состояния и R-входов. SR-защелка, использующая вентили 2-ИЛИ с перекрестным соединением, показана ниже. Эти защелки могут быть построены с Ворота NAND также, однако, два входа заменяются, а также отменяются. Это называется SR’-защелкой.

Защелка SR

Защелка SR



Каждый раз, когда на S-линию защелки подается высокий входной сигнал, выход Q становится высоким. В процессе обратной связи выход Q будет оставаться высоким, когда S-вход снова станет низким. Таким образом, защелка работает как запоминающее устройство.

Точно так же на R-линию защелки подается высокий входной сигнал, затем на выходе Q устанавливается низкий уровень (и высокий уровень Q ’), после чего память защелки сбрасывается. Когда на обоих входах защелки низкий уровень, он остается в своем ранее установленном состоянии или состоянии сброса. В таблица переходов состояний или таблица истинности защелки SR показан ниже.

S р Q

Q ’

00Защелка

Защелка

0

101
101

0

1

10

0

Когда оба входа имеют высокий уровень одновременно, возникает проблема: ему говорят об одновременной генерации высокого и низкого Q. Это создает состояние гонки в схеме, либо триггер достигает чего-то при изменении первым, ответит на другой и объявит себя . Желательно, чтобы оба Логические ворота равны, и устройство будет в неопределенном состоянии в течение неопределенного этапа.


Защелка закрытого типа SR

В некоторых случаях может быть популярным заказ, когда защелка может и не может защелкнуться. Простое расширение Защелка SR не что иное, как закрытая защелка SR . Он дает линию включения, которая должна быть поднята до высокого уровня, прежде чем информация может быть зафиксирована. Хотя линия управления необходима, защелка не является синхронной из-за входов, которые могут изменять выход даже в середине разрешающего импульса.

Защелка закрытого типа SR

Защелка закрытого типа SR

Когда на входе разрешения низкий уровень, выходные сигналы от ворот также должны быть меньше, поэтому выходы Q & Q остаются фиксированными в направлении более ранней информации. Просто при высоком уровне включения i / p можно изменить положение защелки, как показано в табличной форме. Как указано в разрешающей линии, закрытая SR-защелка в процессе аналогична SR-защелке. Иногда линия разрешения является сигналом CLK, однако это строб чтения / записи.

CLK

S р

Q (t + 1)

0

ИксИксQ (t) (без изменений)
100

Q (t) (без изменений)

1

010
110

1

1

11

Икс

D Защелка

Защелка данных - это простое расширение стробируемой защелки SR, что исключает вероятность недопустимых состояний ввода. Поскольку стробируемая защелка SR позволяет нам закрепить выход без использования входов S или R, мы можем устранить один из i / ps, управляя обоими входами с противоположным драйвером. Мы исключаем один вход и автоматически делаем его противоположным остаточному входу.

D Защелка

D Защелка

D-защелка выводит вход D, когда линия Enable имеет высокий уровень, в противном случае выход будет таким, каким был вход D, когда вход Enable был в последний раз высоким. По этой причине она известна как прозрачная защелка. Когда указано Enable, защелка называется прозрачной, и сигналы распространяются прямо через нее, поскольку, если она отсутствует.

ЯВЛЯЕТСЯ

D Q Q ’

0

0Защелка

Защелка

0

1Защелка

Защелка

1

001
111

0

Закрытая D-защелка

К закрытая D-защелка спроектирован просто путем изменения закрытой SR-защелки, и единственное изменение в закрытой SR-защелке состоит в том, что вход R должен быть изменен на инвертированный S. Стробируемая защелка не может быть сформирована из SR-защелки с использованием NOR, как показано ниже.

Закрытая D-защелка

Закрытая D-защелка

Всякий раз, когда CLK в противном случае разрешает высокий уровень, o / p фиксирует что-либо на входе D. Точно так же, когда CLK низкий, тогда D i / p для последнего разрешающего высокого уровня является выходом.

CLK

D Q (t + 1)
0Икс

Q (т)

1

00
11

1

Схема защелки вообще не будет испытывать состояние гонки, потому что единственный вход D инвертирован, чтобы предлагать оба входа. Следовательно, нет возможности для аналогичного состояния ввода. Таким образом, схему D-защелки можно безопасно использовать в нескольких схемах.

JK защелка

Оба Защелка JK , как и защелка RS, аналогична. Эта защелка содержит два входа, а именно J и K, которые показаны на следующей схеме логического элемента. В этом типе защелки здесь удалено нечеткое состояние. Когда на входах защелки JK высокий уровень, выход будет переключаться. Единственное различие, которое мы можем здесь заметить, - это обратная связь по выходу на входы, которой нет в RS-защелке.

JK защелка

JK защелка

T защелка

В Т-образная защелка может быть сформирован всякий раз, когда входы защелки JK закорочены. Функция T-защелки будет такой, когда на входе защелки высокий уровень, а затем будет переключаться выход.

T защелка

T защелка

Преимущества защелок

В преимущества защелок включая следующее.

  • Конструкция защелок очень гибкая по сравнению с FFs (шлепанцы)
  • Защелки потребляют меньше энергии.
  • Работа защелки в конструкции высокоскоростной схемы происходит быстро, потому что они асинхронны в конструкции и нет необходимости в сигнале CLK.
  • Форма защелки очень маленькая и занимает меньше места.
  • Если работа схемы на основе защелки не завершена в установленное время, они занимают необходимое время у других для завершения операции.
  • Защелки дают агрессивную синхронизацию по сравнению с триггерные схемы .

Недостатки защелок

В недостатки защелок включая следующее.

  • Будет шанс повлиять на состояние гонки, поэтому ожидается меньше.
  • Когда защелка чувствительна к уровню, есть шанс метастабильности.
  • Анализ схемы затруднен из-за свойства чувствительности к уровню.
  • Схема может быть протестирована с помощью дополнительной программы CAD.

Применение защелок

В применения защелок включая следующее.

  • Как правило, защелки используются для сохранения состояния битов для кодирования двоичных чисел.
  • Защелки - это однобитовые элементы хранения, которые широко используются в вычислительной технике, а также для хранения данных.
  • Защелки используются в схемах, таких как силовые ворота и часы, как запоминающее устройство.
  • D-защелки применимы для асинхронных систем, таких как порты ввода или вывода.
  • Защелки данных используются в синхронных двухфазных системах для уменьшения количества проходов.

Итак, это все обзор защелок. Это строительные блоки для последовательные схемы . Проектирование этого может быть выполнено с помощью логических вентилей. Его работа в основном зависит от ввода разрешающей функции. Вот вам вопрос, каковы два рабочих состояния защелок?